最新范文 方案 计划 总结 报告 体会 事迹 讲话 倡议书 反思 制度 入党

DC实验报告流程

日期:2020-10-22  类别:最新范文  编辑:一流范文网  【下载本文Word版

DC实验报告流程 本文关键词:流程,实验,报告,DC

DC实验报告流程 本文简介:实验一DC(designcompile)的基本使用流程DesignCompiler是Synopsys综合软件的核心产品。它提供约束驱动时序最优化,并支持众多的设计类型,把设计者的HDL描述综合成与工艺相关的门级设计;它能够从速度、面积和功耗等方面来优化组合电路和时序电路设计,并支持平直或层次化设计。

DC实验报告流程 本文内容:

实验一

DC(design

compile)的基本使用流程

Design

Compiler是Synopsys综合软件的核心产品。它提供约束驱动时序最优化,并支持众多的设计类型,把设计者的HDL描述综合成与工艺相关的门级设计;它能够从速度、面积和功耗等方面来优化组合电路和时序电路设计,并支持平直或层次化设计。

Design

Compiler的功能

利用Design

Compiler,设计者可以:

l

利用用户指定的门阵列、FPGA或标准单元库,生成高速、面积优化的ASIC;

l

能够在不同工艺技术之间转换设计;

l

探索设计的权衡,包括延时、面积和在不同负载、温度、电压情况的功耗等设计约束条件;

l

优化有限状态机的综合,包括状态的自动分配和状态的优化;

l

当第三方环境仍支持延时信息和布局布线约束时,可将输入网表和输出网表或电路图整合在一起输入至第三方环境;

自动生成和分割层次化电路图

其完整的流程见图-1。

l

1

1)

建立设计环境

1)在工作目录下创建db(存放DC综合生成的项目db文件)、lib_syn(存放库文件)、log(存放综合程序运行报告)、netlist(存放综合网表)、rpt(存放综合结果的数据报告)、script(存放脚本文件)文件夹,并将.synpsys_dc文件拷到工作目录下。

2)DC启动时,会自动搜索工作目录下的.synpsys_dc文件,

根据文件中的内容来设定综合环境。因此,在启动DC前,可以先修改.synpsys_dc中库文件的路径(也可以在进入DC后设置)。

set

search_path

“$search_path

/ref/db

./scripts“……指明库所在的路径

set

target_library

“sc_max.db“……目标库

set

link_library

“*

sc_max.db“……链接库

set

symbol_library

“sc.sdb“……特征库

在上述环境建立所需的各类库中,一般由生产商提供目标库,库中的各类cell用于逻辑映射,链接库则包括一些已经做好的设计和子模块,还包括了当前设计的目标库,门级网表实例化元件和单元都来自于它。

2)

读入设计(以下命令都可在DC中的里执行)

1)RTL代码的读入

Design

Compiler使用HDL

Compiler将RTL级设计和门级网表作为设计输

入文件读入。通过analyze和elaborate命令读入RTL级设计,通过read_file或read命令读入门级网表。Design

Compiler支持所有主要的门级网表格式。

如果你用read_file或read命令读入RTL设计,等于实现了组合3analyze和elaborate命令的功能。

2)指明设计顶层

current_design命令

利用这个命令可设置任何一个内存中的设计为当前设计:

dc_shell>

current_design

ANY_DESIGN

Current

design

is

’ANY_DESIGN’

3)链接库文件

要完成一个设计,它就必须与涉及到的库元件和设计链接。对于每一个子设计,必然有一个基准,将子设计或元件与链接库相连。这个过程称为设计链接或基准分解。

Design

Compiler执行下列步骤来完成基准分解:

(1)决定当前设计和它的层次引用哪些库元件和子设计;

(2)搜索链接库,查找这些引用;

(3)将设计与查找到的引用链接。

Design

Compiler首先搜索local_link_library参数定义的库和设计文件,然后再搜索link_library变量中定义的库和设计文件。

在一个分层的设计中,Design

Compiler只考虑顶层设计的局部链接库,而忽略与子设计相关的局部链接库。

Design

Compiler使用第一找到的基准。如果它查找到了具有相同名称的额外的基准,将会产生一个警告信息来识别这个忽视的、重复的基准。如果Design

Compiler没有找到基准,警告信息建议该基准不能被分解。

图2.6显示了在链接库、单元和基准之间的链接过程,在这个例子里,Design

Compiler在LIBRARY_2工艺库里找到了库元件NAND2;在设计文件里找到了子设计MULTIPLIER。

图2.6

分解基准

你可以手动地或自动地进行设计的链接。

(1)手动链接

用link命令来手动地链接设计。在开始链接过程前,link命令移走现有的链接。

(2)自动链接

下列的dc_shell命令自动链接设计:

?

compile

?

create_schematic

?

group

?

check_design

?

report_timing,report_constraints,and

other

report_*

commands

?

compare_design

当执行自动链接时,它并不移走现有的链接。自动链接过程只工作于未链接的元件

(4)设计唯一实例化

uniquify

3)

定义时序约束、面积约束和综合环境约束

1)

设定时钟约束

create_clock

-period

10

[get_ports

clk],其中“get_ports

clk”为时钟的来源,“-period

10”为时钟的周期。通过时钟定义约束了寄存器到寄存器之间的延迟。见图4。

2

set_dont_touch_network

[get_clocks

clk]

一般需要告诉综合器不要对时钟网络进行缓冲驱动,这一工作将在后续的版图布局布线中进行。

set_clock_uncertainty

–setup

0.3

[get_clocks

clk]

set_clock_uncertainty

–hold

0.3

[get_clocks

clk]

这两句是设定时钟的建立时间和保持时间。

set_clock_latency

-rise

3

[get_clocks

clk]

set_clock_latency

-fall

3

[get_clocks

clk]

这两句是设定时钟的上升时间和下降时间。

set_input_delay

-max

0.6

-clock

clk

[get_ports

“A”]

set_output_delay

-max

0.8

-clock

clk

[get_ports

“B”]

这两句是设定特定端口“A”(或“B”)的输入延时(或输出延时)。如图5。

3

2)设定面积约束

set_max_area

0,规定最大面积。

3)设定输出负载

set_load

5

[get_ports

OUT1]

这句定义了输出负载为5,当不知道输出负载的值为多少时,可以用以下命令。

set_load

[load_of

ssc_core_slow/AN2/A]

[get_ports

OUT1]

set_load

[expr{[

load_of

ssc_core_slow/AN2/A]*2.2}]

[get_ports

OUT1]

前一句是指OUT1的输出负载等同于A,后一句是指OUT1的输出负载等同于A*2.2。

4)设定模块的输入驱动强度信息

set_driving_cell

-lib_cell

ND2

[get_ports

IN1],综合工具需要知道输入的驱动能力来计算输入的变化时延,见图6。

4

5)设定综合的操作条件

set_operating_condition

best

set_operating_condition

slow

6)设定布线延时

set_wire_load_model

“tc6a120m2”,通过设定线载模型来设定布线延时。

4)

编译和优化

选择编译策略

你可以用来优化层次化设计的两种基本编译策略被称为自顶向下和从下上。

在自顶向下的策略里,顶层设计和它的子设计一起进行编译。所有的环境和约束设置都根据顶层设计来定义。因此,它会自动的考虑内部模块的依赖性。但对于大型设计,这种方法并不实用,因为所有的设计必须同时贮存在内存里。

(1)

在从下到上的策略里,分别对子设计进行约束和编译。在成功编译后,这些设计都被赋予一个dont_touch参数,防止在随后的编译过程中对它们进行进一步的改变。然后这些编译过的子设计组合成更高层次的设计,再进行编译。编译过程一直持续到顶层设计被综合。由于Design

Compiler不需要同时将所有未编译的子设计装载进内存,这种方法允许你编译大型设计。然而,在每一个阶段,你必须估计每个内部模块的约束,更有代表性的是,你必须不停地编译、改进那些估计,直到所有的子设计界面都是稳定的。每一个策略都有其优点和缺点,这取决于你设计的特殊性和设计目标。你可以选择任意一个策略来进行整个设计,或者混合使用,对每一个子设计采用最合适的策略。

(2)

优化设计

利用compiler命令启动Design

Compiler的综合和优化进程。有几个可选的编译选项。特别的,map_effort选项可以设置为low、mediu或high。

初步编译,如果你想对设计面积和性能有一个快速的概念,将map_effort设置为low;默认编译,如果你在进行设计开发,将map_effort设置为medium;当在进行最后设计实现编译时,将map_effort设置为high。通常设置map_effort为medium。

1)

检查设计层次关系并进行单元映射

check_design

compile

2)

修复hold时序并重新编译

set_fix_hold

[get_clocks

clk]

compile

–only_hold_time

五、导出分析报告

使用report_lib命令来报告库中的内容。report_lib命令能够报告下列资料:库单位;操作条件;线形负载模型和单元

1、

检查整体设计导出报告

2、

导出设计面积报告

3、

导出设计违例报告

4、

导出setup时序违例的详细报告

5、

导出hold

时序违例的详细报告

六、导出设计

write_lib命令能够以Synopsys数据库、EDIF和VHDL格式来保存一个编译过的库。

生成综合网表和pnr

所需的时序约束文件

七、脚本文件介绍

我们可以在一个脚本文件里保存那些综合过程中用过的设计参数和约束。脚本文件是用来管理设计参数和约束的理想工具。

设计实例的脚本文件

下面这个例子是一个简单的脚本,执行了自顶向下的编译过程。脚本中包含注释,标明流程中的每一个步骤。

/*

specify

the

libraries/

指定库

target_library

=

my_lib.db

symbol_library

=

my_lib.sdb

link_library

=

“*“+

target_library

/*

read

the

design/

读入设计文件

read

-format

verilog

Adder16.v

/*

define

the

design

environment/

设置设计环境

set_operating_conditions

WCCOM

set_wire_load_model

“10x10“set_load

2.2

sout

set_load

1.5

cout

set_driving_cell

-cell

FD1

all_inputs()

set_drive

0

clk

/*

set

the

optimization

constraints/

设计最优化约束

create_clock

clk

-period

10

set_input_delay

-max

1.35

-clock

clk

{ain,bin}

set_input_delay

-max

3.5

-clock

clk

cin

set_output_delay

-max

2.4

-clock

clk

cout

set_max_area

0

/*

map

and

optimize

the

design/

映射和优化

uniquify

compile

/*

analyze

and

debug

the

design/

分析和除错

report_constraint

-all_violators

report_area

/*

save

the

design

database/

保存设计数据

write

-format

db

-hierarchy

-output

Adder16.db

你可以按下列方式之一执行这个脚本:

(1)进入dc_shell,然后一行行地输入命令;

(2)进入dc_shell,利用include命令执行脚本文件:

dc_shell>

include

run.scr

(3)利用dc_shell的选项-f,在UNIX命令行执行脚本文件:

%

dc_shell

-f

run.scr

实验二

扫描链

基于扫描路径法的可测性设计技术是可测性设计(DFT)技术的一个重要的方法,这种方法能够从芯片外部设定电路中各个触发器的状态,并通过简单的扫描链的设计,扫描观测触发器是否工作在正常状态,以此来检测电路的正确性。

1

、扫描链原理

数字电路由大量的组合元件和时序元件组成,时序元件具体体现为单个的触发器。系统时钟(来控制各个触发器的数据端口相应数据的输入输出。基于扫描路径法的可测性设计就是将电路中的时序元件触发器替换为相应的可扫描的时序元件扫描触发器;然后将上一级扫描触发器的输出端连接到下一级的数据输入端,从而形成一个从输入到输出的测试串行移位寄存器,即扫描链。

2、实验步骤

1,

修改setup文件,指定路径到实验要求的工艺库

2,

Source

1read_design.tcl

读入设计

3,

依次读入

read_gate_and_protocol.tcl

constraints.tcl

1read_design.tcl~

preview_dft.tcl

settings_insert_dft.tcl

2create_test_protocol.tcl

insert_dft.tcl

settings_protocol.tcl

compile_and_save.tcl

handoff.tcl

等文件

3、时序描述文件的导出

1.

在DC中,使用write_sdf命令导出时序描述文件(该命令对当前设计有效),命令格式如下:

write_sdf

-version

-instance

version

:指定导出的SDF文件的版本,可选项有1.0和2.1,缺省为2.1版

-instance

:指定导出当前设计中某个实例的时序描述文件

:导出的时序描述文件的文件名

6.2.2

时序约束文件的导出

在DC中,使用write_constraints命令来到出时序约束文件(该命令对当前设计有效),命令的格式如下:

write_constraints

-output

-format

-max_paths

-max_path_timing

-from

-to

篇2:生产计划员的工作职责和流程

生产计划员的工作职责和流程 本文关键词:工作职责,流程,计划,生产

生产计划员的工作职责和流程 本文简介:生产计划员的工作职责和流程岗位描述名称:生管员直接上级:生产科主任直接下级:计划文员本职工作:协调生产过程、生产流程,保证生产活动正常运行。岗位职责:1)在生产科的领导下负责工厂生产月计划与周计划的编制与生产指令的发布及执行的进度跟踪直至达成;2)做好订单的评审、生产前的打样安排、确认、生产各环节的

生产计划员的工作职责和流程 本文内容:

生产计划员的工作职责和流程

岗位描述名称:

生管员

直接上级:

生产科主任

直接下级:

计划文员

本职工作:

协调生产过程、生产流程,保证生产活动正常运行。

岗位职责:

1)在生产科的领导下负责工厂生产月计划与周计划的编制与生产指令的发布及执行的进度跟踪直至达成;

2)做好订单的评审、生产前的打样安排、确认、生产各环节的进度物料供应、工艺组织布置、品质状况跟踪落实。

3)依据生产计划的完成情况、采购物资供应情况,合理调整生产计划达成出货要求;

4)做好生产现场转单的物料供应筹备工作与结单时产品数量物料清退跟进工作;

5)协调工厂内各部门,解决生产障碍,保证生产的顺利进行;

6)有关产销协调会议的召开及相关工作事项的办理;

7)依订单生产之要求,跟踪需料需求、备料催询、紧急催询的落实工作;

8)负责每周一次异常工作报备的编制与上报工作。

1)负责每月提出对呆滞物料的处理建议;

2)完成上级临时交办的事项;

3)及时向生产科主任及厂长汇报生产计划的真实情况和有关数据。

4)定期向生产科主任述职。

主要权力:

1)有权对生产计划的修正与变更;

2)有权对生产状况物料使用进行稽核;

3)有权组织部门参加生产计划执行会议及产销协调会议;

4)根据生产进度及料况有权决定临时调单;

5)如停产

6)有权要求责任部门处理说明。

工作岗位:

跟单员,计划员,生管员

PMC代表Product

Material

Control的缩写形式,意思为生产及物料控制。通常它分为两个部分:

PC:生产控制或生产管制(台、日资公司俗称生管)。主要职能是生产的计划与生产的进度控制。

MC:物料控制(俗称物控)主要职能是物料计划、请购、物料调度、物料的控制(坏料控制和正常进出用料控制)等。

你参考一下PMC的吧~

1、生产计划的编排、制定、跟进与实施安排。

2、物料计划制定。

3、物料采购审核。

4、物料管理目标达成。

5、相关部门的沟通与协调。

6、订单评审。

7、ISO9000、5S的执行与督导。

8、部门人员的培训。

9、沟通仓库管理、客诉反应及客户满意度调查。

PMC跟单员

1、订单审核和编制生产指令单。

2、客户资料的建立,并负责与客户沟通与协调。

3、建立每月出货统计表。

4、出货交运安排。

5、跟催物料和生产进度。

6、物料计划实施与控制。

7、生产排程。

8、客人投诉的跟进。

9、平衡供与需之间的关系。

PMC采购

1、建立供应商评估资料及价格记录。

2、打办、询价、比价、议价、订购作业。

3、交料进度控制与逾交跟催。

4、进料品质、数量异常处理。

5、协助配合应付款整理、审查。

6、供应商考核提报。

PMC文员

1、部门人员考勤及加班申请。

2、部门文件的分发、整理、分类存放。

3、编写文件。(如:内部行文,会议记录等)。

4、文件的签收、分发、传阅。

5、仓库月报表整理。

6、部门人员所需办公用品的申购与存放。

7、完成上级安排的工作。

PMC统计员

1、统计各个部门每天的生产效率、工时损耗。

2、统计塑胶部与装配部的生产进度。

3、统计每月生产物料损耗与来料不良的成本率。

4、每周成品入库、出货与装配每周流线、加工、包装生产明细汇总。

5、统计原料进出明细。

6、职员工考核的统计。

7、报表、文件的整理及分类存放。

8、服从、完成上级的安排。

PMC仓库主管

1、规划仓库物品摆放区域。

2、负责仓管工作之安排。

3、对每月库存盘点之数据负责。

4、对每日进出帐负责。

5、保持帐、物、卡一致。

PMC成品仓管

1、成品缴库之点收核对。

2、成品出库交运处理。

3、成品保管及帐务处理。

4、成品库位规划、整理与安全维护。

5、提供有关成品库存资料。

6、滞存品库存提报。

7、每月底一次库存盘点与帐务检讨。

PMC五金、电子、包材仓管

1、进厂材料点收及不合格品退回。

2、材料发放批号管制,余料提报。

3、库位规划、整理与安全维护。

4、滞料及有价值废品之库存提报。

5、提供有关库存动态资料。

6、每月库存重点盘点与帐务核对。

7、服从上级安排,对仓库工作负责,指导下属规范作业

生管工作职责:

1)负责落实本公司各项生产计划,做好综合生产平衡及协调出货计划。

2)配合品管部门抓好生产过程中的质量管理工作。

3)组织生产能力审定,劳动定额和材料消耗的把关工作。

4)配合总务部做好员工培训工作和培训效果测定工作。

5)负责制定设备的操作、维护保养规定并跟踪执行,及设备的验收、安装、维护、标识作业。

6)负责对设备故障、事故的分析调查。及设备更新、改进购置的报告。

7)经常深入生产现场检查设备合理使用和安全操作情况,督促按规程安全操作。

8)定期向总经理,汇报工作中存在的问题,和进度情况。

9)负责生产管制相关文件的规划制定与执行。

10)负责制定相关的体系文件及执行

岗位职责:

1、负责实木家具、软体沙发等高档家具的生产管理工作;

2、负责按照生产计划,落实车间生产安排,按计划完成订单;

3、负责生产流程的人员安排、现场协调各类生产流程中的问题;

4、督促生产环节按照既定的质量标准完成各道工序;

5、指导、监督、检查所属下级的工作,掌握工作情况和相关数据;

6、负责管控产品质量、生产进度、原材料、人工成本等,并及时向总经理汇报;

7、生产管理制度的维护和执行工作

篇3:玻璃擦拭流程、细则、评分标准

玻璃擦拭流程、细则、评分标准 本文关键词:擦拭,细则,评分标准,流程,玻璃

玻璃擦拭流程、细则、评分标准 本文简介:玻璃擦拭比赛流程初赛一、初赛时间:2013年12月4日二、初赛地点:6号楼1楼(走廊)三、初赛人员名单:张惠、董瑞兰、范玉荣、李淑萍、王秋琴、吴香莲、刘丽梅、张红霞、代成枝、汤海红、李留凤、邵鸿燕、白鹤川、王卫霞、李素英、李金环(共计16人)四、比赛时间:5分钟五、初赛评委:郭伟、赵惠君、安丽、许莉

玻璃擦拭流程、细则、评分标准 本文内容:

玻璃擦拭比赛流程

初赛

一、初赛时间:2013年12月4日

二、初赛地点:

6号楼1楼

(走廊)

三、初赛人员名单:张惠、董瑞兰、范玉荣、李淑萍、王秋琴、吴香莲、刘丽梅、张红霞、代成枝、汤海红、李留凤、邵鸿燕、白鹤川、王卫霞、李素英、李金环(共计16人)

四、比赛时间:5分钟

五、初赛评委:

郭伟、赵惠君、安丽、许莉、张爱玲、部门主管

决赛

一、决赛时间:2013年12月5日

二、决赛地点:

6号楼1楼

(走廊)

三、决赛人员名单:初赛胜出的前六名选手

四、比赛时间:5分钟

五、决赛评委:

郭伟、赵惠君、安丽、许莉、张爱玲、部门主管

玻璃擦拭评分细则

评分细则

头发

男:前不过眉,侧不遮耳,后不盖领

女:前不盖眼,后不过肩,长发盘起

面部

男:胡子刮干净

女:淡妆

手部

干净,修剪整齐,不涂指甲油

服装

工装干净,熨烫平整无破损、丢扣

鞋袜

黑色鞋,无破损,擦拭干净;袜边不外露,干净无破损

饰物

不佩戴饰物,于左胸前佩带参赛证

表情

微笑大方,目光平视

形体

站姿正确,双肩水平无小动作,行走不摇摆,不僵直

礼貌

礼貌用语,进考场用普通话向评委问好,报考号,退场时有告别语

准备情况

水桶、水(水量应在水桶的三分之一处)

干抹布、湿抹布

玻璃器

清洁液

凳子

擦拭过程

玻璃上设有的障碍是否清理干净

轨道是否擦干净

玻璃框、边角是否擦干净

玻璃器是否掉

收尾

清理所有工具

工具是否归位、完好

自查开关窗戸

外窗台是否擦拭

质量

卫生质量

设施设备检查

障碍干净程度

整体效果

房务部2013年岗位比武(擦玻璃)评分标准

考号:

姓名:

性别:日期:*年*月*日

评分细则

标准分

得分

备注

(10)

头发

男:前不过眉,侧不遮耳,后不盖领

女:前不盖眼,后不过肩,长发盘起

1

面部

男:胡子刮干净

女:淡妆

1

手部

干净,修剪整齐,不涂指甲油

1

服装

工装干净,熨烫平整无破损、丢扣

1

鞋袜

黑色鞋,无破损,擦拭干净;袜边不外露,干净无破损

1

饰物

不佩戴饰物,于左胸前佩带参赛证

1

表情

微笑大方,目光平视

1

形体

站姿正确,双肩水平无小动作,行走不摇摆,不僵直

1

礼貌

礼貌用语,进考场用普通话向评委问好,报考号,退场时有告别语

2

准备情况(10分)

水桶、水(是否在桶的三分之一处,未在扣一分,超过三分之二扣两分)

3

干抹布、湿抹布(一块未准备扣一分)

1

玻璃器(未准备扣一分)

1

清洁液(未准备扣一分)

3

凳子(未准备扣一分)

2

擦拭过程(50分)

擦拭过程是否正确(未按程序擦拭扣两分)

8

玻璃上设有的障碍是否清理干净(一处不干净扣一分)

10

轨道是否擦干净(一处不干净扣一分)

10

玻璃框、边角是否擦干净(一处不干净扣一分)

10

玻璃器是否脱落(脱落一次扣一分)

5

外窗台是否擦拭(未擦拭扣两分,擦拭不干净扣一分)

7

收尾(10分)

清理所有工具(一处未清理扣一分)

4

工具是否归位、完好(一处未归位扣一分)

3

自查开关窗戸(未自查扣两分)

3

质量(20分)

卫生质量

5

设施设备检查

5

整体效果

10

计(100分)

100

注意事项:

1.操作时间规定为5分钟,每提前满1分钟加1分,每超时1分钟扣1分;

2.在清洁过程中,选手不能直接踩凳子,每违例一次扣2分。

    以上《DC实验报告流程》范文由一流范文网精心整理,如果您觉得有用,请收藏及关注我们,或向其它人分享我们。转载请注明出处 »一流范文网»最新范文»DC实验报告流程
‖大家正在看...
设为首页 - 加入收藏 - 关于范文吧 - 返回顶部 - 手机版
Copyright © 一流范文网 如对《DC实验报告流程》有疑问请及时反馈。All Rights Reserved